1. Trang chủ
  2. » Luận Văn - Báo Cáo

Micro and nano fabrication tools and processes

537 0 0
Tài liệu đã được kiểm tra trùng lặp

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Thông tin cơ bản

Tiêu đề Micro and Nano Fabrication Tools and Processes
Tác giả Hans H. Gatzen, Volker Saile, Jỹrg Leuthold
Người hướng dẫn Richard S. Muller
Trường học Leibniz University Hannover
Chuyên ngành Micro Production Technology
Thể loại book
Năm xuất bản 2015
Thành phố Heidelberg
Định dạng
Số trang 537
Dung lượng 20,88 MB

Nội dung

Hans H Gatzen · Volker Saile · Jürg Leuthold Micro and Nano Fabrication Tools and Processes Tai ngay!!! Ban co the xoa dong chu nay!!! Micro and Nano Fabrication Hans H Gatzen Volker Saile Jürg Leuthold • Micro and Nano Fabrication Tools and Processes With a Foreward and an Introduction by Richard S Muller 123 Hans H Gatzen Center for Production Technology, Institute for Micro Production Technology Leibniz Universität Hannover Garbsen Germany Jürg Leuthold Institute of Electromagnetic Fields ETH Zurich Zurich Switzerland Volker Saile KIT Division 5, Physics and Mathematics Karlsruhe Institute of Technology Eggenstein-Leopoldshafen Germany ISBN 978-3-662-44394-1 DOI 10.1007/978-3-662-44395-8 ISBN 978-3-662-44395-8 (eBook) Library of Congress Control Number: 2014948737 Springer Heidelberg New York Dordrecht London © Springer-Verlag Berlin Heidelberg 2015 This work is subject to copyright All rights are reserved by the Publisher, whether the whole or part of the material is concerned, specifically the rights of translation, reprinting, reuse of illustrations, recitation, broadcasting, reproduction on microfilms or in any other physical way, and transmission or information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed Exempted from this legal reservation are brief excerpts in connection with reviews or scholarly analysis or material supplied specifically for the purpose of being entered and executed on a computer system, for exclusive use by the purchaser of the work Duplication of this publication or parts thereof is permitted only under the provisions of the Copyright Law of the Publisher’s location, in its current version, and permission for use must always be obtained from Springer Permissions for use may be obtained through RightsLink at the Copyright Clearance Center Violations are liable to prosecution under the respective Copyright Law The use of general descriptive names, registered names, trademarks, service marks, etc in this publication does not imply, even in the absence of a specific statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use While the advice and information in this book are believed to be true and accurate at the date of publication, neither the authors nor the editors nor the publisher can accept any legal responsibility for any errors or omissions that may be made The publisher makes no warranty, express or implied, with respect to the material contained herein Printed on acid-free paper Springer is part of Springer Science+Business Media (www.springer.com) Foreward After nearly half a century during which progress in building microsystems was overwhelmingly focused on advances in the production of electronic elements— mainly advances in integrated circuits, a new era has emerged In this era, microsystems embrace new challenges that handle a diversity of signals, typically many of which are nonelectrical These systems, broadly identified as microelectromechanical systems (MEMS) or nanoelectromechanical systems (NEMS), have a wide range of applications in fields such as industrial controls, transportation, information processing, biomedical devices, as well as many others Especially noteworthy is the development of MEMS/NEMS for applications in the new major product area comprised of sophisticated mobile systems that are capable of being Wi-Fi-linked to “cloud-based” communication and computing systems This area is already a heavy consumer of MEMS for accelerometers, gyros, and ground-position sensing Forthcoming are MEMS for health-monitoring and therapy, and for many more applications Invention and development in this area will occupy MEMS creators for decades It is, indeed, an exciting, highly fruitful time to begin work in this field! By the end of 2012, the value of MEMS production on the world scale totaled approximately 12 billion dollars (US) and growth of production was 11 % These numbers exhibit clearly that there is great opportunity for skilled performers in MEMS design It will be necessary to master designs that call for new materials and processes As history has shown, the chances of success in these endeavors are strongly advanced by study of relevant established technology This philosophy has guided the authors in their choices and emphasis of topics in this book The authors have made use of their many years of working on MEMS and NEMS to make clear where we are and how we got there They have chosen topics that will inspire and inform you, the reader, about the plentiful challenges and opportunities in this field v vi Foreward Having begun research and teaching in integrated circuits in the early 1960s, followed with early work in what is now called MEMS at the end of the 1960s, I have a strong bond with the field and with many of its major contributors My wish for you, the reader, mirrors the wish that has guided the authors: may this book help you to find enthusiasm, fulfillment, and success in MEMS Berkeley, California, Fall 2013 Richard S Muller Preface Microelectromechanical systems (MEMS) and nanoelectromechanical systems (NEMS) are miniaturized devices, quite often with a transducer function, and with the smallest structural dimensions of 100 µm or 100 nm, respectively Due to the small dimensions, the production technology applied is rather different from that of macroscopic systems Processes often are more similar to those used in the semiconductor industry, without, however, reaching even closely this industry’s process standardization This book is intended for the university student, technician, engineer, manager, or scientist who would like to expose herself or himself to the field of MEMS and NEMS fabrication While the main emphasis is on technology, the book also provides theoretical background on selected subjects, allowing a better understanding of physical and chemical technological basics As an introduction, Chap presents a brief look into the history of MEMS (contributed by Richard S Muller, UC Berkeley) Chapter examines the nature of Vacuum Technology Chapters and discuss Deposition and Etching Technologies, respectively, two of the key technologies of micro and nano fabrication Chapter covers Doping and Surface Modification technologies Chapter confers on the third key technology: pattern transfer by Lithography Chapter presents a unique technology for fabricating high aspect ratio microparts closely related to lithography: LIGA Chapter discusses Nanofabrication by Self-assembly Chapters and 10 present Enabling Technologies: Wafer Planarization and Bonding as well as Contamination Control by cleaning and production in a cleanroom Chapter 11 concludes the book with a MEMS fabrication sample vii Acknowledgments Writing a technological book like this one draws on a multitude of resources My coauthors and I would like to acknowledge valuable contributions A very important part was access to the literature, which was expertly provided by the German National Library of Science and Technology—University Library Hannover both for digital and (often quite rare) “paper” literature We are particularly grateful that a person so influential to the development of microelectromechanical systems (MEMS) as Prof Richard S Muller, co-founder of the Berkeley Sensor and Actuator Center (BSAC) at UC Berkeley, followed our request to write a Foreward for this book and also to provide us with his view of the historic perspectives of MEMS We considered his latter contribution so valuable that we chose to use it as our Introduction (Chap 1) We further would like to thank numerous persons in the industry and in research facilities for sharing with us insight into micro and nano fabrication processes and the operation of respective equipment and in particular: Niclas Mika and Rutger Voets, ASML, Veldhoven, The Netherlands; Michael Sättler, Frank Schäfer, Jan Peter Stadler, and Heiko Stahl, Robert Bosch GmbH, Reutlingen, Germany; Eric Pabo, EVG, Ft Collins, Colorado; David Fowler, Marvell Nanofabrication Laboratory, UC Berkeley, Berkeley, California; Dennis Hollars, MiaSolé, Santa Clara, California (now retired); Gabi Grützner, Jan Jasper Klein, Arne Schleunitz, Christine Schuster, Karl Pfeiffer, Marko Vogler, and Anja Voigt, micro resist technology, Berlin, Germany; Joachim Schulz, Microworks, Eggenstein-Leopoldshafen, Germany; Susie Williams, Oxford Instruments Plasma Technology, Bristol, UK; Karin Braun, Süss MicroTec, Garching, Germany; and Johannes Hartung, von Ardenne, Dresden, Germany At the IMT, Karlsruhe Institute of Technology, we are indepted to Dieter Maas and Uwe Köhler, for providing us with detailed insight into tools and processes in their cleanroom, Dieter Maas and Markus Breig for taking photographs, Timo Heneka for preparing test specimens, Paul Abaffi for taking SEM micrographs, and Peter J Jakobs for providing insight into e-beam resists We would like to express thanks to Johann Schuardt for expertly drawing most of the pictures in the book, as ix x Acknowledgments well as Angelika Olbrich from the IPQ at the Karlsruhe Institute of Technology and Claudia Hössbacher from the IFH, ETH Zurich for creating the rest Likewise, at the Leibniz Universität Hannover we would like to show appreciation to Jürgen Becker and Veronika Gladilova at the IMPT for sharing equipment and process information, Marc Christopher Wurz and Tom Creutzburg for providing pictures of the IMPT cleanroom, and in particular to Jasmin Scheerle for demonstrating the use of cleanroom garment We further would like to thank Fritz Schulze Wischeler at the LNQE for equipment information at this facility We additionally would like to show gratitude to H Jörg Osten, MBE, and Jürgen Caro, PCI, both Leibniz Universität Hannover, for sharing their respective course materials on semiconductor technology and self-organization of materials Furthermore, to Jürgen Caro we are particularly indebted for patiently and instantaneously answering numerous chemical questions, suggesting chemical etch processes, and subjecting Chap on Nanofabrication by Self-assembly to a critical review We would like to thank Youry Fedoryshyn, IFH, ETH Zurich, for a review of Chap and Christine Ruffert, IMPT Hannover for reviewing the whole manuscript, providing detailed process information on the fab sample presented in Chap 11 as well as helping with choosing exercise questions Lastly, we are indebted to the team of Petra Jantzen, Mayra Castro, and Judith Hinterberg at Springer for guiding this project to completion As the lead author, it is my privilege to extend special thanks to the IMT at the Karlsruhe Institute of Technology for providing me with an office in Karlsruhe for the duration of the project, allowing me to work on the book both in Hanover and Karlsruhe Furthermore, I am particularly indebted to my wife Carmen C Gatzen, who carefully proofread the whole manuscript repeatedly Nevertheless, I am responsible for residual errors I also would like to express my gratitude to her for providing administrative support and, last but not least, for offering an occasional word of encouragement Also, I acknowledge professional computer support from Dieter Gutjahr and Oliver Klein, IMT Karlsruhe and Piriya Taptimthong, IMPT Hannover, as well as software support from my son Matthias M Gatzen, Baker Hughes, Celle Technology Center Hanover, Germany, Spring 2014 Hans H Gatzen Contents Introduction—MEMS, a Historical Perspective References Vacuum Technology 2.1 Introduction into Vacuum Technology 2.1.1 Importance of Vacuum Technology for Processing and Characterization 2.1.2 Historical Overview 2.1.3 Vacuum Technology Basics 2.2 Gas Properties 2.2.1 Kinetic Gas Behavior 2.2.2 Ideal and Real Gas 2.3 Gas Flow 2.3.1 Flow Regimes 2.3.2 Viscous Flow 2.3.3 Molecular Flow and Transition Regime 2.4 Vacuum Systems—Overview 2.4.1 Vacuum Chamber 2.4.2 Pumps 2.5 Roughing Pumps 2.5.1 Rotary Vane Pump 2.5.2 Rotary Piston Pump 2.5.3 Roots Pump 2.5.4 Diaphragm Pump 2.6 High Vacuum Pumps I—Kinetic Transfer Pumps 2.6.1 Diffusion Pump 2.6.2 Turbomolecular Pump 2.6.3 Turbomolecular Drag Pump 2.7 High Vacuum Pumps II—Entrapment Pumps 2.7.1 Cryogenic Pumps I—Cryopump 2.7.2 Cryogenic Pumps II—Meissner Trap 7 11 14 14 21 22 22 23 23 24 24 25 27 27 28 29 30 31 32 33 35 36 36 39 xi 504 (a) (b) 11 Device Fabrication—An Example Resist micromold Cr/Au seed layer Wafer Cu (c) (d) Ion beam (e) SU-8 embedding (f) Planarization Fig 11.9 Fabrication sequence for the first coil layer [1] a Seed layer and mask for first coil layer b Electrochemical deposition of first coil layer c Resist stripping d Seed layer removal by IBE e Embedding in SU-8 f CMP (planarization) is accomplished by completely taping it off with Kapton® tape The thickness of the fabricated Ni layer is µm The next step is creating the resist micromold for through-mask electrochemical deposition of the first coil layer including leads (Fig 11.9a) As previously, AZ9260 positive resist is used and the process conditions are similar as previously, with the exception that the desired resist thickness is 30 µm minimum To achieve this resist thickness, a rotational velocity of 500 min−1 during the principle coating step is chosen As before, the spin duration is 40 s After soft bake, edge bead removal, exposure (through Mask M01), and development, the mask is ready for electrochemical deposition For the deposition of Cu, the electrolyte CuBath®SC (meanwhile replaced by Microfab®SC), Enthone, West Haven, Connecticut, USA, is used [3, 4] As an example of an electrolyte, Table 11.2 presents its composition Due to the substantially larger footprint of the coil to be deposited, the current requirements are considerably different compared to the previous Ni process The DC current is A, the deposition time is 30 This time, an auxiliary electrode of 110 mm × 110 mm is required, which is accomplished by appropriately taping off the auxiliary electrode with Kapton® tape The nominal coil thickness as deposited is 20 µm Figure 11.9b depicts the coil after its deposition, while Fig 11.9c shows the coil after resist stripping To achieve an electrical separation of the coil turns, the seed layer previously required for electrochemical deposition has to be removed in-between the coil 11.5 Process Steps 505 Table 11.2 CuBath®SC (now Microfab®SC) electrolyte composition and process data Content Copper concentration Copper sulfate pentahydrate (CuSO4 · 5H2O) Sulfuric acid (H2SO4) Chlorine ions (Cl−) Temperature pH-value Cathodic current density Anodic current density Additive SC MD Additive SC LO 70/30 Source Ruffert [1], Enthone [3, 4] Unit Range Optimum g/l g/l mg/l mg/l °C – A/dm2 A/dm2 ml/l ml/l 15–19 59–75 150–225 50–90 21–27 3–4 1.5–4 0.5–2 6–12 0.4–0.8 17 67 170 75 24 3.5 2.5 1.25 0.5 structures This is done by IBE (Fig 11.9d) During IBE, the wafer rotates and its axis is tilted against the ion beam by 15° The etch time is 10 The etch rates are 10 nm/min for Au and nm/min for Cr For embedding the coil layer, the negative resist SU-8 (strictly: SU-8 25) is used At the start, the wafer is placed for 10 on a hotplate with a temperature of 105 °C for dehydration For spin-coating, as before, a Süss MicroTec Gyrset is used For achieving a resist thickness of 25 µm, a rotational velocity of 2,000 min−1 and a spin time of 50 s are chosen After a dwell time of 10 at ambient, the wafer is subjected to a soft bake on a hotplate The temperature cycle consists of at 50 °C, 25 at 95 °C, followed by a ramp-down to ambient The next step is exposure in hard contact (Mask M02), using an I-line filter After a dwell time at ambient of 120 min, the wafer is subjected to a hardbake, with a hotplate temperature of 50 °C for and 95 °C for 20 min, followed by a ramp-down to ambient Next, the wafer is submersed in a developer for two times 30 s, with a check of the process results at half time A flood exposure at the mask aligner fosters further polymerization A second hardbake with the same temperature ramp as before concludes the embedding process Figure 11.9e depicts the result For planarizing the top of the coil layer, CMP is applied The CMP system on which the process is conducted has a rigid wafer carrier The process is executed in three steps, each one lasting 10 In a first step, the only load on the wafer is the weight of the wafer carrier In the second step, an extra weight is added on the wafer carrier, and in the third step, the extra weight is doubled Executed properly, the wafer is planarized down to the coil top level, with good local and global planarity, providing a plane and smooth surface for an insulation layer and the next coil layer 11.5.1.3 Intercoil Layer Insulation For a coil layer to function as it should when integrated into the coil system, it has to be properly insulated from other coil layers above or below Therefore, an 506 11 Device Fabrication—An Example insulation layer is required above the first coil layer, as well as the second and third still to be manufactured Figure 11.10 shows the process steps for deposition and patterning of the insulation layer and the fabrication of the rest of the coil system An insulation material particularly well suited is Si3N4 with film stress compensation deposited by PECVD (Fig 11.10a) It requires only a thickness of 250 nm for a sufficient insulation, thus minimizing the building height and also has a high thermal conductivity and therefore supports heat dissipation The precursor gases for PECVD of Si3N4 are SiH4 and N2 By varying the frequency of plasma excitation, it is even possible to create a stress-compensated film To minimize thermal stress on the part of the structure already fabricated (particularly SU-8), the lowest possible process temperature of 100 °C is chosen The other process conditions are an N2 gas flow of 285 sccm, SiH4 of 140 sccm, a pressure p of 600 mTorr, and a low-frequency (LF) power P of 25 W For allowing a contact to the second coil layer as well as enabling a connection to the contact pads, the Si3N4 layer has to be appropriately patterned This is done by creating a µm-thick etch mask of AZ9260 resist for use in an IBE process The desired thickness is accomplished by spin-coating for 40 s at a rotational velocity of 4,000 min−1 After spin-coating, the wafer passes through soft bake and edge bead removal The latter is particularly important in case of a consecutive electrochemical deposition process for allowing proper contacting of the wafer Exposure (a) Si3N4 insulation Ion beam (b) (c) (d) (e) Resist pattern Si3N4 insulation Patterned Si3N4 insulation layer Cr/Au seed layer Resist micromold Cu (f) Four- layer coil system Fig 11.10 Fabrication sequence for the first insulation layer and build-up of the remaining coil, (cont.) [1] a Insulation layer deposition b Via window creation by IBE c Seed layer d Electrochemical deposition of second coil layer e Completed four-layer coil system 11.5 Process Steps 507 is done twice The first one creates the window for contacting the coil (Mask M03) and the second one creates the window for the contact pad (Mask M09) Development is done by submersing the wafer in the developer in a Petri dish, afterward the wafer is exposed to 10 of hard bake at 100 °C to better withstand IBE Figure 11.10b depicts the IBE process As before, the wafer rotates and its axis is tilted against the ion beam by 15° The process time to create the windows is 60 To avoid an excessive heat-up of the wafer, the process is interrupted every 10 for Stripping the resist after etching is completed concludes this process 11.5.1.4 Fabrication of the Remaining Coil Layers The fabrication of the second coil layer starts with the sputter deposition of a conductive seed layer, as before a combination of 50 nm Cr and 200 nm Au, as shown in Fig 11.10c Subsequently, an AZ9260 micromold serves as a throughmask for the electrochemical deposition of the second coil layer as well as the contact pad (Fig 11.10d) Afterward, the resist is stripped As is the case of the first coil layer, an IBE process removes the seed layer, SU-8 embeds the coil, and a CMP process planarizes the Cu/SU-8 surface system, before the next intercoil insulation layer is deposited The third and fourth coil layers, including the respective through-connections, are fabricated along the lines of the first A photomask using AZ9260 is created for reinforcing the contact pads by a through-mask electrochemical deposition of 2–3 µm of Cu, followed by 1–2 µm each of Ni and Au While Ni serves as a diffusion barrier between Cu and Au, Au is applied for corrosion resistance Without such a film system, the contact pads would corrode within weeks, preventing any contacting after a short period of time After stripping the photoresist, the whole device is embedded in Si3N3, following the process sequence for insulation layers (Fig 11.10e) Afterward, an AR-P 3510 resist photomask is applied as an etch mask for IBE removal of the passivation layer on top of the contact pad For spin-coating the resist, as before, a Süss MicroTec Gyrset is used For achieving the target resist thickness of µm, a rotational velocity of 500 min−1 and a spin time of 40 s are chosen Afterward, the wafer is heated on a hotplate for at 95 °C, followed by a ramp-down to ambient The next step is exposure in hard contact (Mask M09) for 22 s at 10 mW/cm2 followed by a development step of 20 s in a Petri dish with a developer AR 300-26 diluted 5:1 with DI water A hardbake is executed on a hotplate at a temperature of 100 °C for 10 IBE is performed for 60 min, while rotating the wafer being tilted toward the ion beam by 15° Resist stripping for h in an acetone bath concludes the fabrication process for the maglev stator Figure 11.11 depicts a micrograph of a Cu coil imbedded in SU-8 after CMP Figure 11.12 presents a polished micrograph section of the four-layer coil system In an industrial environment, wafer fabrication (which just has been completed) is also called “front-end process.” Wafer separation by dicing and the system integration steps are summarized under “back-end process” 508 11 Device Fabrication—An Example Fig 11.11 Coils embedded in SU-8 after CMP Source Ruffert [1] 11.5.2 Traveler System Fabrication The material used for the maglev permanent magnets is sputter-deposited samarium cobalt [5] As sputtered, it is amorphous However, to show good magnetic properties, it has to be annealed at 560 °C to crystallize properly Due to the high Fig 11.12 Polished cross section of the four-layer-coil system Micrograph: imt (now IMPT), Leibniz Universität Hannover 50 µm 11.5 Process Steps 509 annealing temperature, the coefficient of thermal extension CTE of the substrate has to match A material appropriate for samarium cobalt is the glass Schott B270 (Schott, Mainz, Germany) [5] Also, samarium cobalt sputtering does not lend itself for integrating alignment markers at the frontside Therefore, mask alignment is done from the backside Mask and process for creating the alignment markers and dicing marks at the traveler wafer’s backside is the same as for the stator wafer’s frontside (see above): using a Cr/Au adhesion and seed layer, AZ9260 photoresist for the through-mask, and electrochemical deposition of Ni to create the actual markers For coating the wafer’s frontside, the substrate is loaded in a sputtering system and subjected to sputter deposition For reasons of corrosion, the samarium cobalt is sandwiched between a pair of chromium layers, with a chromium underlayer of 60–120 nm (which also influences the magnetic properties of the samarium cobalt) and a chromium top layer of 200 nm Besides corrosion protection, the top chromium layer will also serve as a metal mask for patterning the samarium cobalt The deposition rate for sputter-deposited chromium is 12 nm/min The material composition of the samarium cobalt sputtering target is SmCo5 Since Sm2Co17 has a substantially higher energy product (BH)max (which is the parameter characterizing the strength of permanent magnets) than SmCo5, the deposition process is controlled to yield Sm2Co17 as composition for the deposited film [5, 6] The film thickness is 50 µm The typical deposition rate for samarium cobalt is 10 µm/h and thus is rather high After sputter deposition, the wafer is annealed to change from an amorphous to a crystalline structure It is accomplished by a 3-hour annealing process in a vacuum oven at a temperature of 560 °C [5] For etching the samarium cobalt layer to create the lamellae, the chromium layer is patterned by IBE The area to be etched is defined by an image reversal photomask, which is particularly well suited for IBE due to its negative sidewall angle The process starts with 30 of dehydration on a hotplate at 150 °C AZ5214 is spin-coated with a thickness of µm at 1,000 min−1 for 30 s Prebake takes place at 110 °C for 50 on a hotplate, followed by edge bead removal Then, the resist is exposed using the traveler mask A temperature process for at a hotplate at 120 °C serves as reversal bake and is followed by a flood exposure, i.e., an exposure of the complete wafer surface without mask Spray development creates the photoresist pattern Afterward, the resist may be exposed to a postbake at 120 °C for 50 s on a hotplate The photomask is used to open an etch window in the chromium layer on top the samarium cobalt by IBE Etching samarium cobalt is done by submersing the masked wafer (with the photoresist left in place) in cerium ammonium nitrate (NH4)2[Ce(NO3)6] (CAN) In a lightly agitated bath with a temperature of 40 °C, the average etch rate is µm/min Afterward, the wafer is carefully rinsed in DI water and blown dry with N2 Then, the photomask is stripped An IBE of the chromium layer concludes the traveler fabrication process 510 11 Device Fabrication—An Example 11.5.3 Dicing, Component Evaluation, and System Integration After the wafer fabrication process for maglev stators and travelers is completed, the wafers are separated by dicing The traveler is magnetized in the proper direction (perpendicular to the lamellae length direction) by an impulse magnetometer (IM 2525, Magnet-Physik Dr Steingroever, Cologne, Germany), which achieves a flux density B of 5.5 T The magnetometer is located at the PhysikalischTechnische Bundesanstalt (PTB), Braunschweig, Germany Next, the contact pads are connected by wire bonding, allowing the use of the component parts Component parts are subjected to extensive experimental evaluation As a part of the electrical characterization, the ohmic resistance R of the coil systems (eight coil pairs) on a wafer is determined The average value is 1.3 Ω, compared to a theoretical value of 1.1 Ω The deviation is caused by building height variations during electrochemical deposition as well as slightly poorer conductance of electrodeposited Cu compared to bulk material Force measurements are also executed at the PTB in Braunschweig Exciting the eight coil pairs with a current of 300 mA, the traveler’s permanent magnet moves across laterally over the coil system at a clearance of µm When reaching the center, a repelling force of 600 mN is measured Microactuator Maglev coil system Maglev coil system µm Fig 11.13 Micromotor–maglev stator assembly Micrograph: imt (now IMPT), Leibniz Universität Hannover 11.5 Process Steps 511 Traveler (tilted upwards) Stator mm Fig 11.14 Mock-up of a linear microactuator stator–traveler system with magnetic levitation [1] For better visibility, the traveler is tilted upwards In a third measurement, the magnetic properties of the magnetized permanent magnet lamellae (50 µm thick) are determined The remanence flux density Br is 0.33 T, while the coercivity Hc is 100 kA/m Sample devices are mounted jointly with a magnetic microactuator Figure 11.13 illustrates a stator assembly with a pair of maglev stator chips straddling the stator of a micromotor To demonstrate system integration, a mock-up of the complete linear actuator, consisting of stator and traveler components for microactuator, maglev, gap measurement capacitors, and tribological guides is assembled, as shown in Fig 11.14 Exercises When is a seed layer required in through-mask electrochemical deposition? Is a seed layer typically deposited directly on a substrate? Why electrodeposited coils require a removal of the seed layer between the coil turns? For IBE, a negative sidewall angle of the photoresist may be advantageous Which resist technology does provide it? Due to process restrictions, alignment markers cannot be placed on a wafer’s top side What alignment alternative you suggest? 512 11 Device Fabrication—An Example References Ruffert C (2007) Entwicklung und Aufbau einer Magnetführung für einen Mikrolinearmotor (Design and fabrication of a magnetic guide for a linear microactuator) Ph.D thesis, Leibniz Universität Hannover, Germany Büttgenbach S, Burisch A, Hesselbach J (eds) (2011) Design and manufacturing of active microsystems Springer, Berlin CuBath®SC (2002) Data sheet Enthone, West Haven, CT, USA Microfab®SC technical data sheet (2005) Enthone, West Haven, CT, USA http://seeen spidergraphics.om/cnf5/doc/Microfab%20SC%20TDS.pdf Accessed May 2015 Budde T (2007) Entwicklung von Samarium-Cobalt-Magneten zur Anwendung in magnetischen Mikroaktoren (Development of samarium cobalt magnets for application in magnetic microactuators) Ph.D thesis, Leibniz Universität Hannover, Germany Budde T, Gatzen HH (2006) Thin-film SmCo magnets for use in electromagnetic microactuators J Appl Phys 99 doi:10.1063/1.2176390 Index A Activated reactive evaporation, 164 Adhesion promotion, 319 HDMS, 319, 320 ALD See Atomic layer deposition Alignment markers, 322–324, 348, 500, 502, 503, 509, 511 vernier scales, 323 Anisotropic etching, 206, 215 Anisotropic etching solutions for silicon, 216 EDP, 215, 217, 218, 223, 224, 231 KOH, 215–218, 223, 224, 229, 231 TMAH, 215–218, 223, 224, 231 Anodic bonding, 438 Corning Pyrex, 440 flat metal cathode, 442 ion flow, 441 joining two silicon wafers, 443 tip cathode, 438, 442 APCVD See Chemical vapor deposition, atmospheric pressure chemical vapor deposition ARE See Activated reactive evaporation Arrhenius diagram, 143 Atomic layer deposition, 125, 156 deposition, 162 plasma enhanced, 160 reactors, 159 thermal, 125, 156, 158 Avogadro’s number, 12, 19 B Baratron® See Pressure measurement, capacitance manometer Batch fabrication, 315 Bond alignment, 447 © Springer-Verlag Berlin Heidelberg 2015 H.H Gatzen et al., Micro and Nano Fabrication, DOI 10.1007/978-3-662-44395-8 C CAIBE See Chemically assisted ion beam etching CAPD See Cathodic arc plasma deposition Cathodic arc plasma deposition, 122 Chemically assisted ion beam etching, 255 Chemical-mechanical polishing, 425, 505 dishing, 436 erosion, 436 global, 427 issues, 436 local, 427 process, 436 within die non-uniformity, 427 within wafer non-uniformity, 425, 427, 505 Chemical-mechanical polishing applications, 437 copper Damascene, 437 inter-level dielectric planarization, 437 MEMS applications, 438 shallow trench insulation, 437 tungsten CMP, 437 Chemical-mechanical polishing tool, 429 pad conditioner, 430 wafer carrier, 429 Chemical vapor deposition, 66, 125, 411, 506 atmospheric pressure chemical vapor deposition, 147 compound formation, 129 film stress compensation, 152, 506 gas analysis, 156 gas cabinet, 155 gas-phase mass-transfer coefficient, 142 growth rate, 141 inductively coupled plasma chemical vapor deposition, 153 513 514 Chemical vapor deposition (cont.) laser-induced chemical vapor deposition, 154 low pressure chemical vapor deposition, 149 oxidation, 128 plasma-enhanced chemical vapor deposition, 150 pyrolysis, 127 rate constant for surface reaction, 142 reduced pressure chemical vapor deposition, 147 reduction, 128 safety hazard, 155 thermodynamic principles, 130 Cleanliness of the substrate surface, 455 Cleanroom, 318, 479 air conditioning, 483 airlock, 482 ballroom-type, 480 change area, 482 changing into cleanroom garment, 487 chemical emergency, 483 clean media supply, 483 cleanroom etiquette, 487 cleanroom staff, 487 FOUP, 482 laminar flow, 476 mini environment, 482 SMIF, 482 transportable, 484 with service chases, 481 Cleanroom standards, 474 ISO 14644, 474, 475 recommended practices and guides, 475 U.S Federal Standard (FS) 209, 474 CMP See Chemical-mechanical polishing CNTs See Nanosystem building blocks, carbon nanotubes Covalent bonds, 212 CVD See Chemical vapor deposition D Deep reactive ion etching, 259 Bosch process, 259 cryogenic DRIE, 259 Depth of focus, 345 Development, 324 immersion development, 325 puddle development, 325 spray development, 324 Dicing marks, 500, 503, 509 Index Diffraction, 326–328, 331, 332, 336, 339–342, 344, 358, 359 Fraunhofer diffraction pattern, 333, 346 Fresnel diffraction pattern, 332 Diffusion tube furnace, 284 gaseous source, 287 liquid source, 286 solid source, 285 Dip-coating, 173 Direct wafer bonding applications, 449 MEMS, 449 silicon on insulator, 450 through-wafer via, 450 Directional etching, 206 DNQ See Novolak–diazonaphthoquinone DoF See Depth of focus Doping, 273, 274, 277, 278, 283, 285–300, 307, 308 applications, 300 doped MEMS structures, 300 Doping by Diffusion, 278 concentration gradient, 279 drive-in diffusion step, 282 Fick’s laws of diffusion, 279 interstitial diffusion, 282 mass flux, 279 point defect, 282 pre-deposition, 279–282, 287, 288 Double patterning lithography, 358 DRIE See Deep reactive ion etching Dry cleaning, 471 SCCO2 cleaning, 471 vapor dry cleaning, 471 Dry etching, 206 E E-beam lithography, 354, 371, 385 e-beam writer, 371 writing strategies, 372 ECD See Electrochemical deposition Electrical properties of solids, 275 Electrochemical deposition, 66, 176, 400, 503 additives, 186 applications in magnetic MEMS, 188 blanket deposition, 186 current distribution, 182 current efficiency, 181 electrochemical deposition cell, 180, 183 electrodepositable materials, 188 electrolyte, 176, 178, 184 electrolyte CuBath®SC, 504 Faraday’s laws of electrolysis, 177 Nernst equation, 178 Index paddle cell, 183–185 seed layer, 181, 186, 503 surfactants, 186 through-mask deposition, 186, 503 Electrochemical etching, 206, 210, 221 Electroless plating, 189 Electromagnetic levitation See Maglev Electrophoretic deposition, 190 applications, 193 zeta potential, 193 Ellingham diagram, 134 EPD See Electrophoretic deposition Epitaxy, 89 Etching, 205 corner compensation, 226 etch stop, 223 etchants, 231, 232 isotropic etching, 206 EUV lithography See Extreme ultraviolet lithography Evaporation, 67 chemical film purity, 79 evaporation applications, 88 evaporation of alloys, 71, 87 evaporation of compounds, 74 evaporation rate, 68, 75, 83, 84, 87, 109 evaporation source, 75, 81, 83, 86 evaporation tool, 81, 86, 116 film thickness uniformity, 74, 79, 118, 136, 148, 186 vapor pressure, 67–73, 81, 82, 87, 109, 139 Exposure, 321, 324, 328 contact exposure, 321, 322, 326–328, 348 projection exposure, 322, 326, 328, 351 proximity exposure, 322, 326 Extreme ultraviolet lithography, 362 lithography tool, 362 mask, 363 source, 363 F FCA See Filtered cathodic arc FIB CVD See Focused ion beam chemical vapor deposition FIB GAE See Focused ion beam gas assisted etching FIB See Focused ion beam Fiducials See Alignment markers Filtered cathodic arc, 123 Filtration, 477 filter test standard, 479 HEPA, 477 ULPA, 477 515 Focused ion beam, 243, 244, 246, 247 applications, 248 detection capabilities, 248 dual beam, 247 focused ion beam chemical vapor deposition, 247 instrument, 243–245, 247, 248 Taylor cone, 245 Focused ion beam etching, 247 focused ion beam gas assisted etching, 247 Fourier analysis, 337, 339 spatial frequency spectrum, 338 Fourier transform, 338, 339, 346 G Gas cluster ion beam, 266 Gas transport, 136 boundary layer, 137 bulk transport, 136 diffusion, 136 diffusion coefficient, 139 GCIB See Gas cluster ion beam Gibb’s free energy, 130 actual activity, 132 reaction equation, 131 H HARMNST, 407 HARMST, 172, 187, 253, 383, 407, 438, 495 High Aspect ratio Micro and Nano System Technology See HARMNST High Aspect ratio Microstructure Technology See HARMST High Efficiency Particulate Air See Filtration, HEPA I IBD See Ion beam deposition IBE See Ion beam etching ICP-CVD See Chemical vapor deposition, inductively coupled plasma chemical vapor deposition Ideal gases, 12, 13, 18, 21 ideal gas law, 12 Illumination, 315, 318, 330, 331, 333, 336, 341, 342, 346, 354, 363 coherent, 330, 331, 341–343, 346 incoherent, 330, 331, 341–343, 350 Image formation, 329, 336, 339, 346 Abbe’s theory of image formation, 335 Immersion etching, 208 Immersion lithography, 357, 358 Integrated development tool, 347, 350 516 Inverse sputter etching, 235 Ion beam assisted evaporation, 124, 125 Ion beam deposition, 120 ion assisted ion beam sputtering system, 122 ion beam sputtering, 121 Ion beam etching, 236 Kaufman source, 237 pattern delineation, 241 pattern enlargement, 241 radio frequency inductively coupled plasma ion beam source, 239 redeposition, 241 trenching, 241 Ion implantation, 274, 288, 292, 299 crystal damage, 292 electronic stopping, 290 ion channeling, 294 nuclear stopping, 291 Ion implanter, 295, 299 Bernas source, 297 IPA See Solvent cleaning, isopropyl alcohol Isopropanol See Solvent cleaning—isopropyl alcohol K Kinetic gas theory, 13, 14 Knudsen cell See effusion cell Knudsen number, 22, 23 L Laminar flow bench, 484 Lapping, 426 Laser lithography, 367 two-photon absorption, 368 LCVD See Chemical vapor deposition, laserinduced chemical vapor deposition Lift-off technique, 314 LIGA, 397, 401, 404–407 Direct LIGA, 405 infrastructure, 398 intermediate mask fabrication, 402 production sample, 405 replication, 401, 405 X-ray lithography process, 403 X-ray mask, 401 Lithography tool, 321, 322, 347, 350, 362, 363, 369 mask aligner, 347 projection, 350 refurbishing, 352 scanners, 351, 368, 369 steppers, 346, 351, 368 Index LPCVD See Chemical vapor deposition, low pressure chemical vapor deposition M Maglev, 495–497, 499, 502, 503, 507, 508 experimental evaluation, 510 traveler, 497, 499 Mask, 314, 322, 354, 500 fabrication, 354 full-field, 322 gray-tone, 354 mask set, 499 phase-shift, 356 reticle, 322 stator mask, 500 traveler mask, 502 Mask alignment, 321–323, 347, 348, 509 backside, 347, 509 stitching error, 354 Mass flow, 49, 50 MBE See Molecular beam epitaxy Mean free path, 14, 15, 20, 22, 23 Mechanical cleaning, 467 brush scrubbing, 467 cotton swab, 468 Mechanisms of particle removal, 477 diffusion, 478 impaction, 478 interception, 478 MEMS, 1–4, 7, 66, 206, 273, 313, 397, 425, 438, 449, 455, 479, 495 Microelectromechanical systems See MEMS Miller indices, 213 Modulation transfer function, 343 Molecular beam epitaxy (MBE), 76, 88, 90, 91, 411 effusion cell, 76, 88, 90, 91 Molecules, 410 amphiphilic, 410, 414 hydrophilic, 410 lipophilic, 410 Monolayer formation time, 18, 20 MTF See Modulation transfer function N Nanoelectromechanical systems See NEMS Nanofabrication, 409 bottom-up, 409 top-down, 409 Nanoimprint lithography, 376 Nanosystem building blocks, 418 block copolymers, 420 carbon nanotubes, 419 Index DNA scaffolds, 418 porous alumina membranes, 421 NEMS, 1–4, 7, 66, 206, 273, 313, 409, 425, 455, 479, 495 Neutral aqueous cleaners, 461 builders, 461 joint cleaning action, 461 mild detergent, 461 surfactants, 458 NIL See Nanoimprint lithography Novolak–diazonaphthoquinone, 381 Numerical aperture, 340, 346, 351, 357, 359 O Oxidation tool, 307 horizontal tube furnace, 307 P PAC See Photoactive compound Particle density, 13, 48 Particle velocities, 15 arithmetic mean velocity, 16, 17 mean square velocity, 16 most probable velocity, 16 PECVD See Chemical vapor deposition, plasma-enhanced chemical vapor deposition Photoactive compound, 381 Photomask hardbake, 325 pattern transfer, 325 post-exposure bake, 324 softbake, 325, 507 Photoresist, 313, 320, 499, 509, 511 edge bead removal, 321, 350 hydrogen silsesquioxane, 386 image reversal, 382, 509 inks for dip-pen, 388 inks for soft lithography, 388 negative, 320, 382 poly (methyl methacrylate), 385 positive, 320, 381, 503 softbake, 321 SU-8, 383, 497, 499–501, 505–507 Physical dry etching, 205 Physical vapor deposition, 66 Piranha clean, 318, 463 Plasma breakdown voltage, 98 collective charge effects, 105 collision processes, 100 cross section, 102 effective temperature, 103 517 particle energies, 103 plasma frequency, 106 plasma species, 96, 102 Plasma etching, 249, 254 barrel reactor, 251 downstream etching, 249, 252, 254 PLD See Pulsed laser deposition Polishing, 426 Powder blasting, 205, 264, 265 Pressure measurement, 23, 44, 48 Bayard-Alpert gauge, 49 Bourdon gauge, 44, 45 capacitance manometer, 45 diaphragm gauge, 45 Penning gauge, 41, 48, 49 Pirani gauge, 46 thermocouple gauge, 46, 47 Pressure, 13, 18 Pulsed laser deposition, 93 PVD See Physical vapor deposition Q Q-tip See Mechanical cleaning, cotton swab R Rapid thermal processing, 293 post-implantation annealing, 299 RCA standard clean, 456, 462, 464 original process, 464 process improvements, 464 SC-1, 462–464 SC-2, 456, 462–464 Reactive ion beam etching, 255 Reactive ion etching, 257 etch process, 257 parallel plate reactor, 257 Reactive sputtering, 165 dual rotary magnetron, 165 planar target, 166 Real gas, 21 Residual gas analysis, 50, 91 mass spectrometer, 50, 56 RF quadrupole, 51, 91 Resolution limit, 327, 342, 344, 358 line width, 342 node, 342, 351 practical, 344 smallest feature size, 327, 342, 344, 358 RF ICP ion beam source See Radio frequency inductively coupled plasma ion beam source RGA See Residual gas analysis RIBE See Reactive ion beam etching 518 RIE See Reactive ion etching RPCVD See Chemical vapor deposition, reduced pressure chemical vapor deposition S SAMs, 378, 410, 415, 418 alkanethiols, 415 Au (111), 410, 415, 418 Scanning probe-based lithography, 374 dip-pen lithography, 375 nanoscratch lithography, 374 SCREAM See Single crystal reactive etching and metallization SCROD, 463 Selective etching, 207 etch stop, 207 sacrificial layer, 207, 228 Self-assembly, 411, 413, 414 chemical, 411 co-assembly, 413 colloidal, 411 directed, 414, 416 dynamic, 412 hierarchical, 413 physical, 411 role of defects, 414 static, 412 Self-assembled monolayers See SAMs Silicon fusion bonding, 443 high-temperature fusion bonding, 443 hydrophilic silicon to silicon bonding, 444 hydrophobic bonding, 445 plasma activated, 443, 446 Silicon, 211 Silicon properties, 276 Silicon material properties, 277 intrinsic semiconductor, 277 n-type semiconductor, 277 p-type semiconductor, 278 Silicon-on-insulator, 301 ion-cut technology, 301 separation by implantation of oxygen, 301 SIMOX See Separation by implantation of oxygen Single crystal reactive etching and metallization, 262 Slurry, 434 abrasives, 435 metal CMP slurry, 434, 435 oxide CMP slurry, 434, 435 Soft lithography, 377, 378 microcontact printing, 379 Index replica molding, 378 solvent-assisted micromolding, 377, 378 SOI See Silicon-on-insulator Solgel, 65, 67, 174, 175 Solvent cleaning, 465 acetone, 465, 466 cleaning procedure, 466 Freon®, 113, 467 isopropyl alcohol, 466 methanol, 466 Spin-coating, 169 SPL See Scanning probe-based lithography Spray-coating, 169 Spray etching, 210 Sputter etching, 235 Sputtering, 94 anode, 119 cathode, 115 collision cascade, 106 DC diode sputtering, 110, 112 magnetron, 105, 111, 114, 115, 118, 119, 122, 165, 167, 168 RF diode, 111–113, 165 sputter applications, 120 sputter yield, 107–109 sputtering of alloys, 109 sputtering process, 119 sputtering system, 95, 110, 114, 116, 118, 122 substrate bias, 113 substrate table, 119 target, 115, 503 Stator, 495 Stiction, 229 Substrate cleaning, 318 Substrate surface, 319 contact angle, 319 hydrophilic, 319 hydrophobic, 319 Supercritical CO2 cleaning See Dry cleaning, SCCO2 cleaning Surface impingement rate, 18 Surface wetting, 417 hydrophilic, 417 hydrophobic, 417 Synchrotron radiation source, 397, 398 T Thermal oxidation of silicon, 303 application, 309 model for oxidation, 304 oxidation process, 308

Ngày đăng: 02/11/2023, 11:48